<output id="qn6qe"></output>

    1. <output id="qn6qe"><tt id="qn6qe"></tt></output>
    2. <strike id="qn6qe"></strike>

      亚洲 日本 欧洲 欧美 视频,日韩中文字幕有码av,一本一道av中文字幕无码,国产线播放免费人成视频播放,人妻少妇偷人无码视频,日夜啪啪一区二区三区,国产尤物精品自在拍视频首页,久热这里只有精品12

      總線合集-video總線

      Posted on 2024-04-07 21:56  綠葉落秋風  閱讀(36)  評論(0)    收藏  舉報

      模板-V1

      模型功能

      1. 將視頻傳輸中的數據導入到總線上,方便后續的處理和拓展

      模型框圖

      video_interface.sv

      `include "../public_files/public_macro.svh"
      interface video_interface;
      logic clk;
      logic rst_n;
      logic fsync;
      logic vsync;
      logic hsync;
      logic psync;
      logic [`WD_VIDEO_VDATA - 1:0] vdata;
      
      logic assert_open; //assert open flag
      endinterface
      

      public_macro.svh

      // --------------------------------------------------------------------
      // width macro
      `define WD_VIDEO_VDATA 24
      
      

      public_libs.svh

      // ######################################################################
      // lib include
      
      `include "../public_interface/video_interface.sv"
      
      

      tb_video_system_top.sv

      // --------------------------------------------------------------------
      // add sim libs of every scene
      `include "./public_files/public_libs.svh"
      `include "./public_files/public_macro.svh"
      
      `timescale 1ns / 1ps
      module tb_video_system_top #(
          
         )(
          
      );
      // --------------------------------------------------------------------
      // add system clock and rst
      reg i_sys_clk    = 0;
      reg i_sys_resetn = 0;
      always #5 i_sys_clk = ~i_sys_clk;
      initial #1000 i_sys_resetn = 1;
      // --------------------------------------------------------------------
      // add video interface
      //src
      video_interface src_video_itf();
      assign src_video_itf.clk = i_sys_clk;
      assign src_video_itf.rst_n = i_sys_resetn;
      //dst
      video_interface dst_video_itf();
      assign dst_video_itf.clk = i_sys_clk;
      assign dst_video_itf.rst_n = i_sys_resetn;
      
      // --------------------------------------------------------------------
      // add DUT to test
      video_gray_cacu#(
          .MD_SIM_ABLE       ( 0 ),
          .WD_DATA           ( 24 ),
          .WD_BYTE           ( 8 ),
          .WD_ERR_INFO       ( 4 )
      )u_video_gray_cacu(
          .i_sys_clk         ( i_sys_clk         ),
          .i_sys_resetn      ( i_sys_resetn      ),
          .s_video_src_fsync ( src_video_itf.fsync ),
          .s_video_src_vsync ( src_video_itf.vsync ),
          .s_video_src_hsync ( src_video_itf.hsync ),
          .s_video_src_psync ( src_video_itf.psync ),
          .s_video_src_vdata ( src_video_itf.vdata ),
          .m_video_dst_fsync ( dst_video_itf.fsync ),
          .m_video_dst_vsync ( dst_video_itf.vsync ),
          .m_video_dst_hsync ( dst_video_itf.hsync ),
          .m_video_dst_psync ( dst_video_itf.psync ),
          .m_video_dst_vdata ( dst_video_itf.vdata ),
          .m_err_video_info1 (   )
      );
      
      
      endmodule
      

      層次說明

      • 除了頂層文件,其他所有文件都在二級目錄,包括后面新增的文件,都將以一二級目錄的形式進行
        • 頂層調用場景scene,scene調用對應階段phase的對應任務
        • 由于每次的調用都應該是可以任意連接的,所以將scene和phase放置在同一級沒有問題

      實現步驟

      建立總線合集

      1. 如模型所示,編寫帶有各種信息的總線
      2. 由于總線具有指代性,所以可以在不改變原有信號的基礎上,不斷拓展而不需要考慮中間層的連接
      3. 總線主要是提供數據通道,同時也是驅動的最小單元
      • 基于總線的驅動可以更好地滿足后續地拓展和維護地需求

      構建公共文件

      1. 使用public_macro將所有的常數匯集,以免后續由于硬件升級導致需要大量修改參數
      2. 使用public_libs將所有的類以及各種結構包含在一個文件中方便頂層調用,這些調用是存在順序的,在仿真時尤為注意

      構建帶總線集合的仿真頂層

      1. 例化video總線,
      2. 連接總線的各個元素。如時鐘、信號,由于暫時未加入斷言,所以斷言標志未連接
      3. 例化DUT,完成一個系統仿真所需的基本要件

      最終效果

      vivado視圖

      調用接口

      源碼壓縮包

      工程源碼

      主站蜘蛛池模板: 国产一区二区日韩在线| 国产不卡一区二区四区| 国产永久免费高清在线| 丁香色婷婷国产精品视频 | 国产精品午夜福利精品| 孕妇怀孕高潮潮喷视频孕妇| 国产午夜精品亚洲精品国产| 国产睡熟迷奷系列网站| 国产乱子伦一区二区三区四区五区 | 亚洲av影院一区二区三区| 国产成人综合在线观看不卡| 国产成AV人片久青草影院| 午夜通通国产精品福利| 欧美日韩人人模人人爽人人喊| 成人午夜大片免费看爽爽爽 | 高清无码爆乳潮喷在线观看| 瑞安市| 免费看婬乱a欧美大片| 亚洲欧美自偷自拍视频图片| 国产av仑乱内谢| 中国国产一级毛片| 男女扒开双腿猛进入爽爽免费看| 国产精品最新免费视频| 在线国产你懂的| 99久久婷婷国产综合精品青草漫画| 亚洲黄色片一区二区三区| 国产精品久久久久aaaa| 国产精品伦人一久二久三久| 久久精品国产99久久久古代| 莱阳市| 欧美一区二区三区激情| 高清无码在线视频| 巨爆乳中文字幕爆乳区| 日韩午夜一区二区福利视频| 综合偷自拍亚洲乱中文字幕| 久久中文字幕日韩无码视频| 国产区精品视频自产自拍| 亚洲日韩一区二区| 国精偷拍一区二区三区| 国产精品一区二区三区黄色| 欧美国产精品不卡在线观看|